Off-chip Interconnect

Silicon interposer, high-density fine-pitch fan-out RDL and bumpless bond are the three pillars of chip-to-chip interconnect on innovative advanced heterogeneous integration technologies (HIT). Each interconnect technology provides the best PPACC in their own domains of AI and 5G networks, and is tightly associated with a wafer-level heterogeneous integration technology, namely CoWoS, InFO and SoIC, respectively, in HPC and mobile application systems.

TSMC’s off-chip interconnect technologies continues to advance for better PPACC:

  1. Silicon interposer: high interconnect density, high specific capacitance density, and large reticle size for exascale HPC/AI
  2. Fan-out: high interconnect density and large reticle size in fan-out for cost and performance in HPC/network AI
  3. SoIC: high 3D interconnect density with ultra-low bonding latency for energy efficient computing systems

Note: PPACC: Power consumption, Performance, Area (form factor), Cost, Cycle time to market

Sort by:
11-20 of 42
  • 3D-MiM (MUST-in-MUST) Technology for Advanced System Integration

    2019
    An advanced 3D Multi-stack (MUST) system integration technology, 3D MUST-in-MUST (3D-MiM) fan out package, has been developed as next generation wafer-level fan-out package technology. 3D-MiM technology utilizes a more simplified architecture which eliminates BGAs between packages for system-level performance, power and form-factor (PPA) purpose. This technology also makes use of a modularized approach for both design and integration flow to improve design flexibility and integration efficiency. Known-good pre-stacked memory cube and/or logic-memory cubes are fabricated by leveraging the established integrated fan-out technology platform (InFO) in tools, materials, design rules, and processes to shorten development cycle time and achieve cost effectiveness. Two 3D-MiM fan-out examples are presented in this paper. The first 3D-MiM package integrates a SoC with 16 memory chips in a 15 × 15 mm 2 footprint with 0.5 mm package height (final BGA included) for mobile application. The other 3D-MiM package integrates 8 SoCs with 32 memory chips in a 43 × 28 mm 2 footprint to mimic a system integration of multiple logic cores and multiple memory chips for HPC applications.
  • Signal Integrity of Submicron InFO Heterogeneous Integration for High Performance Computing Applications

    2019
    Heterogeneous integration has attracted much attention for high performance computing (HPC) since artificial intelligence (AI) accelerators surged. The technologies for heterogeneous integration, such as silicon interposer (2.5D), fan-out wafer-level-packaging (FOWLP), and organic substrate, have been proposed to integrate logic-logic or logic-HBM chips in the AI system for performance and cost benefits. However, the tremendous data flow in 5G era requires higher data rate and bandwidth for the extensive die-to-die communication. Therefore, a BEOL-scale re-distributed layer (RDL) technology should be developed to satisfy the requirements. In this paper, a novel ultra-high-density InFO (InFO_UHD) technology with submicron RDL is developed to provide high interconnect density and bandwidth for logic-logic system. The bandwidth density can achieve record high 10 Tbps/mm at line width and spacing (L/S) of 0.8/0.8 um and length of 500 um, for a logic-logic system using simplified IO driver. Using the technology in logic-memory system, we found that the scaling of RDL thickness, L/S, and dielectric thickness can mitigate ring-back problems in the eye diagram of organic substrate. Given HBM2 specification, the bandwidth density can achieve more than 0.4 Tbps/mm from dramatically improved signal integrity. Finally, power efficiency, in the metric of energy per bit, of the interconnect technology under simplified IO driver and HBM2 driver condition was calculated and compared with other technology, respectively.
  • System on Integrated Chips (SoIC™) for 3D Heterogeneous Integration

    2019
    A brand new 3D integrated circuit (3DIC) solution, System on Integrated Chips (SoIC™), has been successfully developed to integrate active and passive chips into a new integrated SoC system to meet ever-increasing market demands on higher computing efficiency, wilder data bandwidth, higher functionality packaging density, lower communication latency, and lower energy consumption per bit data. 3D packaging is challenging and requires overcoming three major challenges - thermal, power delivery, and yield. The SoIC, as industry-first 3D logic-on-logic and memory-on-logic chiplet stacking technology platform, enables the heterogeneous integration (HI) of known good dies (KGDs) with different chip sizes, functionalities and wafer node technologies, all to be integrated in a single, compact new system chip. From external appearance, SoIC looks like a general SoC chip with multiple pre-designed heterogeneous functional chips embedded. As SoIC is fabricated using "front-end" process, it can be holistically integrated into variant "back-end" advanced packaging technology platforms such as flip chip, integrated fan-out (aka InFO), 3DIC, and 2.5D with Si interposer (e.g. CoWoS™) [1-2] to provide a miniaturized and highly integrated HI SiP for the future HPC, AI, 5G, and edge computing applications. With the innovative bonding scheme, SoIC enables the strong bonding pitch scalability for chip I/O to realize a high density die-to-die interconnects. The bond pitch starts from sub-10 μm rule. Short die-to-die connection of SoIC has the merits of smaller form-factor, higher bandwidth, better power integrity (PI), signal integrity (SI), and lower power consumption comparing to the current industry state-of-the-art packaging solutions. In this paper, we demonstrated for the first time an integration of SoIC chip into InFO_PoP without increasing its form-factor. The SoIC was made on a logic-on-logic stacking to validate the design rules, process maturity, and reliability.
  • Ultra-thin Package Board Level Drop Impact Modeling and Validation

    2019
    Board level reliability during drop impact is a major concern for electronic packages. The impact force generated as the casing strikes the ground can cause electronic device failures in handheld products. The full drop testing procedure is costly and time-consuming due to complex sample preparation and test set-up procedures. Failure analysis also requires significant manpower to conduct. Therefore, an impact modeling method to predict the results of board level drops is highly desirable. We propose a dynamic modeling approach to describe the transient response of the package during impact based on an Input-G loading method with an implicit solver algorithm. The dynamic response of an ultra-thin package is obtained experimentally using a drop tester with accelerometer, strain gauge, and resistance monitor. For the Input-G method, the acceleration response of the impact pulse is then converted into a velocity form, and is taken as the loading input to a finite element (FE) model in this paper. The time dependent PCB strain, spectrum analysis, and modal analyses are used to correlate with the FE model and used to understand drop impact behaviors. Spectra of impact pulse and PCB dynamic strains are obtained by using the Fast Fourier Transform (FFT) technique. The extracted bending shape and frequency are consistent with the modal analysis results. The bending shape is mainly determined by the first mode. Knowledge about the spectra of PCB dynamic responses are required to understand the bending characteristics, which affect the package drop reliability. This FE model provides an accurate and reliable way to understand failure physics, and to help to achieve service life improvements in early development stage.
  • 3D Multi-chip Integration with System on Integrated Chips (SoIC)

    2019
    The electrical characterization of System on Integrated Chips (SoIC™), an innovative 3D heterogeneous integration technology manufactured in front-end of line with known-good-die is reported. Chiplets integration of devices including foundry leading edge 7nm FinFET technology with SoIC™ illustrates its advantages in high bandwidth density and high power efficiency, as compared with 2.5D and conventional 3D-IC with micro-bump/TSV.
  • A Novel Submicron Polymer Re-Distribution Layer Technology for Advanced InFO Packaging

    2018
    From high-performance computing (HPC) applications such as Artificial Intelligence (A.I.) rising, advanced multi-chip packaging to integrate different functions could be a fast time-to-market and cost effective solution instead of SOC. Accordingly, more I/O die to die communications for advanced packaging is a need. To fulfill this demand, large number in registered routing lines between dies lead a constant drive for miniaturization for die to die Redistributed Layer (RDL) among industry. In this article, InFO Ultra-High-Density (UHD) RDL technology [1] is demonstrated, with RDL line-width down to submicron range (<;1um). This technology can empower the needs with industry trend. The InFO UHD RDL technology is characterized by electrical performances, e.g., via-chain continuity, RDL Comb/Meander Rs, line-to-line leakage current, and eventually reliability testing such as electro-migration (EM), stress migration (SM), breakdown voltage (Vbd), Time-Dependent-Dielectric Breakdown (TDDB), etc. After process optimization, the electrical test data demonstrate >99% yields from via-chain continuity, RDL Comb/Meander Rs and leakage current. Initial reliability testing shows good performance from EM, SM, Vbd, TDDB, etc. Package-level reliability test and results will also be addressed in this article. The potential challenges ahead will be discussed in terms of fundamental technical confinements as further scaling-down in RDL line-width and via, based on simulated and theoretical predictions, and possible approaches to resolve them.
  • Chemical Shrinkage and Viscoelasticity for Molded Underfill

    2018
    The molded underfill (MUF) offers many unique advantages, including lower material costs, higher throughput, and excellent reliability for flip-chip chip scale packages (fcCSP) and fan-out packages. The assembly process yield and reliability of these packages are significantly influenced by the warpage behaviors of MUF. We develop an integrated process modeling approach incorporated with real-time chemical shrinkage and cure-dependent viscoelastic constitutive model for warpage prediction. The cure-dependent chemical shrinkage, kinetics and viscoelasticity are measured using pressure-volume-temperature (PVT) method, differential scanning calorimetry (DSC) and dynamic mechanical analysis (DMA). The integrated model is applied to fcCSP and fan-out packages with different designs, and the simulation results are in good agreement with the experimental data. A simplified model is also constructed for MUF with a fast corss-linking rate. In addition to warpage prediction, we successfully demonstrate that the model is useful to select suitable MUF baseline materials.
  • High Performance, High Density RDL for Advanced Packaging

    2018
    In the era of IoT, everything is connected through mutual data communication. System designers keep raising the bar for faster data transmission speed and wider data bandwidth to meet the ever-increasing data transmission demands from clouds computing such as data centers, servers, AI to edge devices such as mobile devices, AR/VRs, cars, robots, drone and so on. To resolve aforementioned huge data growth challenges, the next-generation advanced packaging solutions in 5G and RF mmWave communication become a very hot research topic among semiconductor industry as well as academic community. Particularly, how to provide a high density, high speed interconnect link with a minimized electrical transmission loss at high frequency becomes a critical R&D subject for packaging designers. In this paper, we demonstrated the first time a fine pitch, two-layers embedded Cu dual damascene RDLs with stacked vias on a 300 mm wafer using a single lithography dielectrics film. Each RDL layer composes of a sub-5 μm microvias and a 2 μm/1 μm line/ space (L/S) escape routing using a Cu dual damascene process. A liquid photoimageable dielectrics film was used for the fabrication of microvias and RDL trenches using a UV lithography tool. To achieve a good total thickness variation (TTV) control within the thin dielectrics film, a CMP process was applied to remove the plated Cu overburden and seed metal from the dielectrics surface while maintaining a smooth planarization surface to minimize the electrical transmission loss when system chips running at a high frequency. With demonstrated fine pitch, multi-layers Cu dual damascene RDLs, the existing wafer level fan-out SiP technologies can be readily extended to realize the next-generation high density, high performance advanced packaging in 5G and RF mmWave applications.
  • InFO_AiP Technology for High Performance and Compact 5G Millimeter Wave System Integration

    2018
    InFO_AiP technology, with low loss chip-to-antenna interconnect and wideband slot-coupled patch antenna, is proposed for low power, high performance, and compact 5G millimeter wave (mmWave) system integration. The low loss chip-to-antenna interconnect is related to low metal surface roughness of redistribution layer (RDL) and smooth interconnect transition between chip and package in InFO technology. The InFO RDL with low metal roughness results in transmission loss of 0.3 dB/mm which is lower than the loss of Cu trace on substrate, and the smooth interconnect transition with low discontinuity structure reduces the interconnect loss, by 0.78 dB at 60 GHz. A wideband slot-coupled patch antenna is designed successfully with 22.8% FBW (56.6-71.2GHz) and over antenna gain of 3 dBi in the operating band. To verify the technology performance, an InFO_AiP sample at 60 GHz is first designed, fabricated, and measured. The measurement result has a good agreement with the simulation and shows S11 ? -10 dB bandwidth of 55-65 GHz. As a result, InFO_AiP is a leading technology for 5G mmWave system application from power and performance considerations.
  • High Performance Chip-Partitioned Millimeter Wave Passive Devices on Smooth and Fine Pitch InFO RDL

    2017
    High performance millimeter wave passive devices are realized on smooth, fine pitch InFO redistribution layer (RDL). These passive devices are balun, power combiner, coupler, and microstrip line and the electrical performances are measured from 0.1GHz to 67 GHz through VNA. The measurement results show that the transmission loss of on-InFO balun (4.3 dB), the power divider (4.3 dB), and the coupler (4.9 dB) outperforms on-chip one by 2.1 dB, 1 dB, and 0.2 dB, respectively. While the transmission loss of microstrip line (0.34 dB/mm) is better than on-chip one by 0.17 dB/mm at 60 GHz. Furthermore, the parasitic of InFO chip-package interconnection has been investigated and compared to other technologies with and without solder bumps. The parasitic resistance, inductance, and capacitance for InFO interconnection are 75 %, 76 %, and 14 % lower than those for chip-last, face-down technology. Parasitic resistance for InFO RDL is 10 % lower than that for chip-first face-down technology with uneven RDL.
11-20 of 42